用户名: 密码: 验证码:
Pattern Placement Accuracy in Block Copolymer Directed Self-Assembly Based on Chemical Epitaxy
详细信息    查看全文
文摘
The realization of viable designs for circuit patterns using the dense features formed by block copolymer directed self-assembly (DSA) will require a precise and quantitative understanding of self-assembled feature registration to guiding templates or chemical prepatterns. Here we report measurements of DSA placement error for lamellar block copolymer domains indexed to specific lines in the surface chemical prepattern for spatial frequency tripling and quadrupling. These measurements are made possible by the use of an inorganic domain-selective prepattern material that may be imaged upon polymer removal after DSA and a prepattern design incorporating a single feature serving as an in situ registration mark that is identifiable by pattern symmetry in both the prepattern and resulting self-assembled pattern. The results indicate that DSA placement error is correlated with average prepattern line width as well as prepattern pitch uniformity. Finally, the magnitude of DSA placement error anticipated for a uniform, optimized prepattern is estimated.

Keywords:

directed self-assembly; chemical epitaxy; block copolymer; placement error; lithography

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700