专用片上网络设计关键技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着半导体与集成电路技术的发展,成百甚至上千的IP核将集成到单个芯片上,使得片上系统(System on Chip,SoC)内部互连结构的吞吐量、延时、功耗、信号完整性以及时钟同步等问题变得更加复杂。传统的基于总线的片上通信结构全局连线长、可扩展性差,成为系统性能的瓶颈。片上网络(Network on Chip,NoC)采用基于数据包的传输方式,为模块之间的互连提供高效、可靠、灵活的通信架构,成为解决复杂SoC设计中全局互连与通信问题的有效方案。由于芯片面积、功耗和性能的约束,片上网络NoC必须针对特定的应用专门定制,这就需要专用片上网络设计方法学。论文对专用片上网络设计中的关键技术进行研究,重点解决了片上网络体系结构建模与性能评估、IP核映射和路径分配、专用拓扑生成以及路由机制设计等关键问题,为建立完整的专用片上网络设计方法学奠定基础。
     论文首先从系统级设计角度,提出了一种基于OPNET的NoC建模和仿真方法,用于评估拓扑结构、交换技术和路由算法等设计参数以及不同通信负载和通信模式对NoC体系结构的平均网络延时、吞吐量等性能的影响,为面向特定应用的NoC设计中选择最佳互连体系结构提供依据,并通过将MPEG4解码器映射到NoC体系结构的应用验证了所提出的仿真评估方法的有效性。
     在分析建立NoC通信功耗模型的基础上,提出了一种功耗优化的NoC协同映射算法,结合IP核选择和任务分配,实现IP核在NoC体系结构中的自动映射,使得NoC系统全局通信功耗最小。通过多组随机基准实验和一个复杂视频/音频多媒体系统的实际应用验证了算法的性能。实验结果表明,在IP核映射的同时考虑IP核选择和任务分配,与两步式映射、仅有IP核映射相比,可平均节省30%和60%左右的通信功耗。
     为解决NoC映射中的核间通信路径分配问题,定义了NoC链路负载均衡性模型,提出了一种NoC路由路径分配方法GAMR,为特定应用的每条通信踪迹生成确定的、无死锁的最短路径路由,在满足带宽约束下,最小化通信功耗,同时保证网络链路负载均衡。采用多组多媒体测试应用实验,验证了GAMR算法性能,与现有NoC映射和路由算法相比,可平均节省20%的功耗和30%的链路带宽需求。
     针对专用NoC设计中,规则拓扑结构可能导致大规模冗余路由器、较低链路利用率或者局部拥塞的缺点,深入研究了专用NoC拓扑生成方法。基于NoC映射和路径分配思想,提出了一种层次化拓扑生成方法GATG。该方法以降低网络通信功耗和资源开销为目标,在满足带宽和延时约束下,根据给定应用的通信需求和路由器结构特征,自动将IP核映射到所选路由器单元,同时提出一种基于递归实现的链路构建算法嵌入GATG,用于确定IP核间的通信路径,从而构建路由器之间的拓扑连接链路,最终生成专用的不规则的NoC拓扑结构。GATG生成结果与采用规则2D Mesh结构相比,平均降低通信功耗45%,且可有效节省网络资源开销。论文还进一步提出了一种基于簇划分的NoC拓扑生成算法,简化了GATG方法的实现过程,降低了算法复杂度,且可在通信功耗和网络资源开销方面获得更优的结果。
     最后,论文针对NoC全局通信事务管理和可靠性设计问题,提出了“网络监控器”的概念,用于获取全局网络实时负载和可用节点的状态信息及执行路径分配算法。基于网络监控器,提出了一种动态路由机制DyRS-NM。DyRS-NM机制能检测和定位NoC中的拥塞和故障链路,并能区分瞬时和永久性链路故障,采用重传方式避免瞬时错误,通过重新路由计算绕开拥塞和永久性故障链路,解决了高负载下网络拥堵问题,提高了NoC的数据吞吐量以及故障容错能力。论文设计实现了网络监控器和与之通信的容错路由器模块,构建了基于网络监控器的4×4 Mesh结构NoC的RTL级模型,分析了系统性能以及面积功耗开销。相比静态XY路由和动态XY路由,DyRS-NM机制在可接受的开销代价下获得了更优的性能。
System on Chip (SoC) grows in size with the advance of semiconductor technology enabling integration of dozens of cores on a chip. The continuously increasing number of cores makes on chip communication architecture design encounters more complex problems, such as throughput, latency, power, signal integrity and clock synchronization. Traditional bus-based interconnect architectures are inherently non-scalable, making communication a bottleneck. Using data packet transmission scheme, Network on Chip (NoC) provides effective, reliable and flexible infrastructures for system modules, and becoming an effective solution to overcome the problems of global interconnection and communication in complex SoC design. Application-specific NoC is necessary because chip design has constraints of area, power and performance, and design methodologies for application-specific NoC is needed. In this thesis, we study on the key technology of application-sepcific Network on Chip design, and mainly focus on the simulation modeling and performance evaluation of NoC Architectures, IP cores mapping and routing path allocation, application-specific topology generation and routing scheme design. Our work sets an important basis for building complete design methodologies for application-specific NoC.
     We firstly propose a modeling and simulation approach based on OPNET from the perspective of system-level design, to evaluate performance of various NoC architectures by varying the network topologies, swiching techniques and routing algorigthms and simulate each of these under different injection rates and traffic patterns. Detailed comparative analysis of the simulation results in terms of average latency and throughput is presented, which could be used as a guideline for NoC designers to make appropriate choices for a given application in order to achieve optimal performance. To further illustrate our evaluation approach, we map a MPEG4 decoder application onto different NoC architectures and show their impact on the NoC system performance.
     A NoC co-mapping algorithm for minimizing the overall communication power consumption is proposed, based on the analysis and building of the NoC communication power model. It automatically maps IP cores onto NoC architecture combining IP selection and task assignment. The experiments performed on various random benchmarks and a complex video/audio application to confirm the efficiency of the algorithm. Experimental results show that the proposed algorithm saves about 30% and 60% of power consumption compared to the existing two-step mapping and only IP cores mapping algorithms on average.
     To slove the problem of communication path allocation between cores in NoC mapping, we difine the link-balance model, and present a genetic algorithm based mapping and routing approach called GAMR. GAMR generates a deterministic deadlock-free minimal routing path for each communication trace of given application, so as to minimize total communication power consumption and balance the traffic across the links under bandwidth constraint. The evaluation performed on various multimedia benchmark applications confirms the efficiency of the proposed approach. Experimental results show that GAMR saves about 20% of energy consumption and 30% of link bandwidth requirement on average compared to the existing NoC mapping and routing algorithms.
     Aiming at the problem of using regular topologies in application-specific NoC design, which may lead to large-scale redundant routers, lower link utilization or local congestion, we further research on application-specific NoC topology generation approachs. Based on the idea of NoC mapping and routing, a genetic algorithm based hierarchical topology generation approach called GATG is proposed. The aim is to reduce the network communication power consumption and resources cost. Under the constraints of the bandwidth and latency, GATG automatically maps IP cores onto the selected routers according to the communication requirements of given application and characteristics of router architectures. In addition, a recursion based routing path construction algorithm embedded in GATG is proposed to construct links between routers, and finally the application-specific irregular NoC topology is formed. Experimental results show that GATG achieves 45% of energy saving on average in comparison with using regular 2D Mesh topology, and also can obtain significant network resources improvement. Furthermore, we present a clustering-based topology generation approach for application-specific NoC. It simplifies the realization of GATG and reduces the complexity of the algorithm, and also can obtain better results in the area of communication power consumption and resources cost.
     Finally, from the perspective of the global communication management and reliability design in NoC, we has introduced the concept of network monitor, which monitors overall network real-time conditions and implements path allocation algorithm. Based on the network monitor, a novel dynamic routing scheme called DyRS-NM is presented for NoC application. The proposed scheme DyRS-NM has the ability to discover and deal with both congestion and permanent faults and distinguish them from transient faults. DyRS-NM can avoid transient faults by using retransmisson scheme, and also can detour congested and permanently faulted links by recalculating routing paths. This scheme sloves the problem of network congestion in heavy load, and improves the network throughput and fault tolerance ability. We have finished the circuit design of the network monitor and fault-tolerant router, and built the RTL-level model of network monitor based 4×4 mesh NoC architecture. The system performance and cost of area and power consumption is analysed. Compared to both static and dynamic XY routing, significant performance improvements can be achieved by using the DyRS-NM scheme with acceptable additional cost.
引文
[1] Benini L, De Micheli G. Networks on chip: a new SoC paradigm. IEEE.Computer, 2002, 35(1): 70-78.
    [2] Jantsch A, Tenhunen H. Networks on Chip. Kluwer Academic Publisher, 2003.
    [3] Semiconductor Association. The International Technology Roadmap for Semicondutors (ITRS), 2007.
    [4] The Connect Bus Architecture. http://www.chips.ibm.com.
    [5] The AMBA Specification. http://www.arm.com/products/solutions/ AMBAHomePage.html.
    [6] WISHBONE SoC Architecture Specification. http://www.opencores.org.
    [7] SiliconBackplaneⅢ. http://www.sonicsinc.com/sonics/products.
    [8] SiliconMX. http://www.sonicsinc.com/sonics/products.
    [9] The STBus Architecture Specification. http://www.st.com/stonline/ products/technologies/soc/ stbus.htm.
    [10] OCP-IP, OCP Specification Release2.1, http://www.ocpip.org
    [11] Avalon Bus Specification Reference Manual Version 2.3, Altera Corporation, July 2003.
    [12] Bainbridge J, Furber S. CHAIN: A delay insensitive chip area interconnect. IEEE Micro, 2002, 22(5): 16-23.
    [13] Ye T T, Benini L, De Micheli G. Packetized on-chip interconnect communication analysis for MPSoC. Proceedings of Design, Automation and Test in Europe Conference and Exhibition, 2003: 344-349.
    [14] Wingard D. MicroNetwork-Based Integration for SoCs. Proceedings of Design Automation Conference, 2001:673-677.
    [15] Furber S. Future trends in SoC Interconnect. Proceedings of the International Symposium on VLSI Design, Automation and Test, 2005: 295-298.
    [16] Jerraya A, Tenhunen H, Wolf W. Multiprocessor system-on-chips. IEEE Computer Magazine, 2005, 38(7): 36-40.
    [17] Dally W J, Towless B. Route Packets, not wires: on-Chip interconnection networks. Proceedings of Design Automation Conference, NV, USA, 2001: 684-689.
    [18] Hemani A, Jantsch A, Kumar S, et al. Network on a Chip: an architecture for billion transistor era. Proceedings of IEEE NorChip Conference, Turku, Finland, 2000:166-173.
    [19] Sgroi M, Sheets M, Mihal A, et al. Addressing the system-on-a-chip interconnect woes through communication-based design. Proceedings of Design Automation Conference, NV, USA, 2001:667-672.
    [20] Guerrier P, Greiner A. A generic architecture for on-chip packet-switched interconnections. Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, Paris, France, 2000: 250-256.
    [21] Kumar S, Jantsch A, Soininen J P, et al. A network on chip architecture and design methodology. Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2002: 117-124.
    [22] Murali S, Theocharides T, Vijaykrishnan N, et al. Analysis of error recovery schemes for networks on chips. Design&Test of Computers, IEEE, 2005, 22(5):434-442.
    [23] Li M, Zeng Q A, Jone W B. DyXY: a proximity congestion-aware deadlock-free dynamic routing method for network on chip. Proceedings of the 43rd annual conference on Design automation, New York, NY, USA:ACM Press, 2006: 849-852.
    [24] Henkel J, Wolf W, Chakradhar S. On-chip networks: a scalable, communication-centric embedded system design paradigm. Proceedings of 17th International Conference on VLSI Design, 2004: 845–851.
    [25] Bjerregaard T, Mahadevan S. A survey of research and practices of Network-on-chip. ACM Computing Surveys, 2006, 38(1):1.
    [26] Jiang Xu, Wolf W, Henkel J, Chakradhar S. A methodology for design, modeling, and analysis of Networks-on-Chip. Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS), May 2005:1778-1781.
    [27] Adriahantenaina A, Charlery H, Greiner A, et al. SPIN: A Scalable, Packet Switched, On-Chip Micro-Network. Proceedings of Design, Automation and Test in Europe Conference and Exhibition, March 2003: 70- 73.
    [28] Jantsch A. Nostrum. http://www.ict.kth.se/nostrum/
    [29] Bertozzi D, Benini L. Xpipes: A network-on-chip architecture for gigascale systems-on-chip. IEEE Circuits and Systems Magazine, 2004, 2(4): 18-31.
    [30] Rijpkema E, Goossens K, Radulescu A. trade-offs in the design of a router with both guaranteed and best-effort services for networks on chip. Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, 2003: 350-355.
    [31] Bjerregaard T, et al. The MANGO clockless network-on-chip: Concepts and implementation. Technical University of Denmark, Denmark, 2005.
    [32] Wiklund D, Dake Liu. SoCBUS: Switched Network on Chip for Hard Real Time Embedded Systems. Proceedings of the International Parallel and Distributed Processing Symposium (IPDPS), April 2003:8.
    [33]高明伦,杜高明. NoC:下一代集成电路主流技术[J].微电子学, 2006, 36(4): 461-466.
    [34]周干民. NoC基础研究, [博士学位论文].合肥:合肥工业大学, 2005.
    [35]荆元利,樊晓柳,张盛兵,等.基于片上网络的系统芯片测试研究.微电子学与计算机, 2004, 21(6): 154-159.
    [36]周国昌. NoC的时钟网络及相关问题研究, [硕士学位论文].西安:西北工业大学, 2005.
    [37]周文彪,张岩,毛志刚.片上网络的低功耗自适应数据保护.计算机工程, 2006, 32(22): 28-30.
    [38]李磊.片上网络NoC的通信研究, [博士学位论文].杭州:浙江大学, 2007.
    [39]朱晓静.片上网络的结构设计与性能分析, [博士学位论文].合肥:中国科学技术大学, 2008.
    [40]武畅.片上网络体系结构和关键通信技术研究, [博士学位论文].成都:电子科技大学, 2008.
    [41]马立伟.专用片上网络设计方法:通信建模、拓扑结构与自动生成, [博士学位论文].北京:清华大学, 2006.
    [42]吴飞.片上网络适配单元的设计与实现, [硕士学位论文].南京:南京航空航天大学, 2007.
    [43]丁帅.片上网络通信节点的研究与设计, [硕士学位论文].南京:南京航空航天大学, 2009.
    [44]王祺.基于应用的片上网络设计与性能评估, [硕士学位论文].南京:南京航空航天大学, 2009.
    [45] Ogras U Y, Hu J, Marculescu R. Key research problems in NoC design:a holistic perspective. Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, New York, NY, USA: ACM Press, 2005: 69-74.
    [46] Gerstlauer A. Communication abstractions for system-level design and synthesis. Technical report, Center for Embedded Computer Systems, University of California, Irvine, CA, 2003.
    [47] Bjerregaard T, Mahadevan S, Spars? J. A Channel Library for Asynchronous Circuit Design Supporting Mixed-Mode Modelling. Proceedings of the 14th International Workshop on Power and Timing Modeling, Optimization and Simulation, 2004: 301-310.
    [48] Coppola M, Curaba S, Grammatikakis M D, et al. OCCN: A Network-On-Chip Modeling and Simulation Framework. Proceedings of the conference on Design,automation and test in Europe, Washington, DC, USA: IEEE Computer Society, 2004: 174-179.
    [49] Ningyi X, Xianglun L, Renfei L, et al. A SystemC-based NoC Simulation Framework supporting Heterogeneous Communicators. Proceedings of 6th International Conference on ASIC, 2005: 926-929.
    [50] Chan J, Parameswaran S. NoCGEN: a template based reuse methodology for Networks On Chip architecture. Proceedings of 17th International Conference on VLSI Design, 2004:717-720.
    [51] Lu Z, Yin B, Jantsch A. Connection-oriented Multicasting in Wormhole-switched Networks on Chip. Proceedings of the IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures, Washington, DC, USA: IEEE Computer Society, 2006: 205.
    [52] Nousias I, Arslan T. Wormhole Routing with Virtual Channels using Adaptive Rate Control for Network-on-Chip (NoC). Proceedings of First NASA/ESA Conference on Adaptive Hardware and Systems, 2006:420-423.
    [53] Duato Jose, Yalamanchili Stuhakar, Li Lionel.并行计算机互连网络技术——一种工程方法.谢伦国,张民选,窦强,等译.北京:电子工业出版社, 2004.
    [54] Marescaux T, Nollet V, Bartic A, et al. Distributed congestion control for packet switched networks on chip. Proceedings of the international Conference on Parallel Computing, 2005: 761-768.
    [55] Hu J, Marchlescu R. Energy-aware mapping for tile-based NoC architectures under performance constraints. Proceedings of the Conference on Asia South Pacific Design Automation, Kitakyushu, 2003: 233-239.
    [56] Srinivasan K, Chatha K S, Konjevod G. Linear-programming-based techniques for synthesis of network-on-chip architectures. IEEE Transactions on Very Large Scale Integration Systems, 2006, 14(4): 407-420.
    [57] Partha Pratim Pande, Grecu C, Jones M, et al. Performance evaluation and design trade-offs for network-on-chip interconnect architectures. IEEE Transactions on Computers, 2005, 54(8): 1025-1040.
    [58] Ngo V, Nguyen H, Choi H. Analyzing the Performance of Mesh and Fat-Tree Topologies for Network on Chip Design. In EUC 2005, Lecture Notes in Computer Science, 2005: 300-310.
    [59] Coppola M, Curaba S, Grammatikakis MD. OCCN: a NoC modeling framework for design exploration. Journal of Systems Architecture, 2004, 50(2-3): 129-163.
    [60] Holsmark Rickard, H?gberg Magnus, Shashi Kumar. Modelling and Evaluating a Network on Chip architecture using SDL. Proceedings of the 11th International SDL Forum, July 2003.
    [61] Liu C, Link Z, Pradhan D K. Reuse-based test access and integrated test scheduling for network-on-chip. Proceedings of the conference on Design, automation and test in Europe, 2006:303-308.
    [62] Amory A M, Cota E, Lubaszewski M, et al. Reducing test time with processor reuse in network-on-chip based systems. Proceedings of the 17th symposium on Integrated circuits and system design, New York, NY, USA: ACM Press, 2004: 111-116.
    [63] Liu C, Iyengar V. Test scheduling with thermal optimization for network-on-chip systems using variable-rate on-chip clocking. Proceedings of the conference on Design, automation and test in Europe, 2006: 652–657.
    [64] Ahonen T, Tortosa D S, Nurmi J. Topology optimization for application-specific networks-on-chip. Proceedings of the International Workshop on System Level Interconnect Prediction, Paris, France, 2004: 8.
    [65] Pande P P, Grecu C, Ivanov A, et al. Switch-based interconnect architecture for future systems on chip. Proceedings of SPIE, VLSI Circuits and Systems, 2003: 228-237.
    [66] Hegedus A, Maggio G M, Kocarev L. A ns-2 simulator utilizing chaotic maps for Network-on-Chip traffic analysis. Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS), May 2005: 3375-3378.
    [67] Pande P P, Grecu C, Ivanov A, et al. Design of a switch for Network on Chip applications. Proceedings of International Symposium on Circuits and Systems. Bangkok, Thailand: IEEE, 2003: 217-220.
    [68]王文博,张金文. OPNET Modeler与网络仿真.北京:人民邮电出版社, 2003.
    [69] Taqqu M S, Willinger W, Sherman R. Proof of a fundamental result in self-similar traffic modeling. Computer Communication Review, 1997, 27: 5-23.
    [70]刘华阳.网络系统仿真中的流量模型研究.军民两用技术与产品, 2006, 2:42-43.
    [71]付方发,张庆利,王进祥,等.支持多种流量分布的片上网络性能评估技术研究.哈尔滨工业大学学报, 2007, 39(5): 830-834.
    [72] Wenbiao Z, Yan Z, Zhigang M. Pareto based multi-objective mapping IP cores onto NoC architecture. Proceedings of IEEE Asia Pacific Conference on Circuits and System, Singapore, 2006: 331-334.
    [73] Murali S, De Micheli G. Bandwidth-constrained mapping of cores onto NoC architectures. Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, Paris, 2004: 896-901.
    [74] Lei T, Kumar S. A two-step genetic algorithm for mapping task graphs to network on chip architecture. Proceedings of the Euromicro Symposium on Digital System Design, Belek-Antalya,2003: 180-187.
    [75] Nickray M, Dehyadqari M, Afzali-kusha A. Power and delay optimization for network on chip. Proceedings of the European Conference on Circuit Theory and Design, Cork, 2005:273-276.
    [76] Jena R K, Sharma G K. A multi-objective Evolutionary algorithm based optimization model for network-on-chip synthesis. Proceedings of the International Conference on Information Technology, Rourkela, 2007: 977-982.
    [77] Chae-Eun Rhee, Han-You Jeong, Soonhoi Ha. Many-to-many core-switch mapping in 2-D mesh NoC architectures. Proceedings of IEEE International Conference on Computer Design, 2004: 438-443.
    [78] Ascia G, Catania V, Palesi M. Multi-objective mapping for mesh-based NoC architectures. Proceedings of International Conference on Hardware/Software Codesign and System Synthesis, 2004: 182-187.
    [79]周干民,尹勇生,胡永华,等.基于蚁群优化算法的NoC映射.计算机工程与应用, 2005, 41(18): 7-10, 150.
    [80] Wenbiao Z, Yan Z, Zhigang M. An application specific NoC mapping for optimized delay. Proceedings of the International Conference on Design and Test of Integrated Systems in Nanoscale Technology, 2006: 184-188.
    [81] Guangshun L, Junhua W, Guangsheng M. Mapping of irregular IP onto NoC architecture with optimal energy consumption. Tsinghua Science and Technology, 2007, 12(S1): 146-149.
    [82]常政威,谢晓娜,桑楠,等.片上网络映射问题的改进禁忌搜索算法.计算机辅助设计与图形学学报, 2008, 20(2): 155-160.
    [83] Hua L, Liang Z, Dong T, et al.A fast hierarchical multi-objective mapping approach for mesh-based networks-on-chip. Acta Scientiarum Naturalium Universitatis Pekinensis, 2008, 44(5): 711-720.
    [84] Kim J S, Taylor M B, Miller J, et al. Energy characterization of a tiled architecture processor with on-chip networks. Proceedings of the International Symposium on Low Power Electronics and Design, Seoul, 2003: 424-427.
    [85] Ye T T, Benini L, De Micheli G. Analysis of power consumption on switch fabrics in network routers . Proceedings of Design Automation Conference, New Orleans, 2002: 524-529.
    [86]孙榕,林正浩.基于遗传算法的NoC处理单元映射研究.计算机科学, 35(4): 51-53, 84.
    [87] Dick R P, Rhodes D L, Wolf W. TGFF: task graphs for free. Proceedings of the 6th International Workshop on Hardware/Software Codesign, Seattle, Washington, 1998: 97-101.
    [88] Hu J, Marchlescu R. Energy- and performance-aware mapping for regular NoC architectures. IEEE Transactions on computer-aided design of integrated circuits and systems, 2005, 24(4): 551-562.
    [89] Hu J, Marchlescu R. Exploiting the routing flexibility for energy/performance aware mappings of regular NoC architectures. Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, Munich, Germany, 2003: 688-693.
    [90]夏晓梅,周干民.基于定向Ford_Fulkerson算法的NoC路径分配.合肥工业大学学报(自然科学版), 2006, 29(3): 316-321.
    [91]岳培培,刘建, Sheikh Anjum,等. NoC映射问题中的列举路径分配算法.电子科技大学学报, 2008, 37(1): 54-57.
    [92]林桦,李险峰,佟冬,等.保证QoS的片上网络低能耗映射与路由方法。计算机辅助设计与图形学学报, 2008, 20(4): 425-431.
    [93] Bertozzi D, Jalabert A, Murali S, et al. NoC synthesis flow for customized domain specific multiprocessor systems-on-chip. IEEE Transactionss on Parallel and Distributed Systems, 2005, 16(2): 113-129.
    [94] Srinivasan K, Chatha K S. ISIS: a genetic algorithm based technique for custom on-chip interconnection network synthesis. Proceedings of the International Conference on VLSI Design, Washington, USA, 2005: 623-628.
    [95] Pinto A, Carloni L P, Sangiovanni-Vincentelli A L. Efficient synthesis of networks on chip. Proceedings of the 21st International Conference on Computer Design, 2003: 146-150.
    [96] Srinivasan K, Chatha K S, Konjevod G. An automated technique for topology and route generation of application specific on-chip interconnection networks. Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2005: 231-237.
    [97] Xu J, Wolf W, Henkel J, et al. A design methodology for application-specific networks-on-chip. ACM Transactions on Embedded Computing Systems (TECS), 2006, 5(2): 263-280.
    [98]马立伟,孙义和.片上网络拓朴优化:在离散平面上布局与布线.电子学报, 2007, 35(5): 906-911.
    [99] Chang K C, Chen T F. Low-power algorithm for automatic topology generation for application- specific networks on chips. IET Computers & Digital Techniques, 2008, 2(3): 239-249.
    [100] Srinivasan K, Chatha K S. A technique for low energy mapping and routing in network-on-chip architectures. Proceedings of the International Symposium on Low Power Electronics and Design, San Diego, 2005: 387-392.
    [101]冯永新,王光兴,刘治国,姜月秋.一个应用于移动Ad Hoc网络管理的簇生成算法.软件学报, 2003, 14(1):132-138.
    [102] Wu M S, Lee C L. Using a periodic square wave test signal to detect cross talk faults. IEEE Design & Test of Computers, 2005, 22(2):160-169.
    [103] Hu J, Marculescu R. DyAD - smart routing for networks-on-chip. Proceedings of Design Automation Conference, 2004: 260-233.
    [104] Ye T T, Benini L, De Micheli G.. Packetization and routing analysis of on-chip multi- processor networks. Journal of Systems Architecture, 2004, 50(2): 81-104.
    [105] Li M, Zeng Q A, Jone W B. DyXY - a proximity congestion-aware deadlock-free dynamic routing method for network on chip. Proceedings of Design Automation Conference, 2006: 849-852.
    [106] Dumitras T, Kerner S, Marculescu R. Towards on-chip fault-tolerant communication. Proceedings of the Asia and South Pacific Design Automation Conference(ASP-DAC), 2003: 225-232.
    [107] Pirretti M, Link G M, Brooks R R , et al. Fault tolerant algorithms for Network-on-Chip interconnect. Proceedings of IEEE Computer society Annual Symposium on VLSI, 2004: 46-51.
    [108] Dally W, Towles B. Principles and practices of Interconnection Networks. Morgan Kaufmann Publishers, USA, 2004.
    [109]岳培培,陈杰,刘建,等.应用于片上网络的容错机制.微计算机信息, 2009, 25(10): 228-230.
    [110] Bushnell M L, Agarwal V D. Essentials of electronic testing for digital, memory and mixed-signal VLSI Circuits. Kluwer Academic Publishers, USA, 2000.
    [111] Ali M, Welzl M, Zwicknagl M, et al. Considerations for fault-tolerant Network on chips. Proceedings of the 17th International Conference on Microelectronics (ICM), Islamabad, 2005:5.
    [112] Marculescu R. Networks-on-Chip: the quest for on-chip fault-tolerant communication. Proceedings of IEEE Computer Society Annual Symposium on VLSI, 2003: 8-12.
    [113] Greenfield D, Banerjee A, Lee J G, et al. Implications of rent’s rule for noc design and its fault-tolerance. Proceedings of First International Symposium on Networks-on-Chip (NOCS), 2007:283-294.
    [114] Ali M, Welzl M, Hessler S. A fault tolerant mechanism for handling permanent and transient failures in a network on chip. Proceedings of Fourth International Conference on Information Technology, 2007: 1027-1032.
    [115] Hosseini A, Ragheb T, Massoud Y. A fault-aware dynamic routing algorithm for on-chip networks. Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS), 2008:2653-2656.
    [116] Stuart Sutherland. The Verilog PLI Handbook. New York: Kluwer Academic Publishers, 2002.