用户名: 密码: 验证码:
Exploiting write power asymmetry to improve phase change memory system performance
详细信息    查看全文
  • 作者:Qi Wang ; Donghui Wang ; Chaohuan Hou
  • 关键词:phase change memory ; write power asymmetry ; command scheduling
  • 刊名:Frontiers of Computer Science in China
  • 出版年:2015
  • 出版时间:August 2015
  • 年:2015
  • 卷:9
  • 期:4
  • 页码:566-575
  • 全文大小:865 KB
  • 参考文献:1.Lefurgy C, Rajamani K, Rawson F, Felter W, Kistler M, Keller T W. Energy management for commercial servers. IEEE Computer, 2003, 36(12): 39鈥?8View Article
    2.Lim K, Ranganathan P, Chang J, Patel C, Mudge T, Reinhardt S. Understanding and designing new server architectures for emerging warehouse-computing environments. In: Proceedings of 35th International Symposium on Computer Architecture. 2008, 315鈥?26
    3.Udipi A N, Muralimanohar N C, Niladrish B, Rajeev D, Al J, Norman P. Rethinking DRAM design and organization for energy-constrained multi-cores. SIGARCH Computer Architecture News, 2010, 38(3): 175鈥?86View Article
    4.Lee B C, Ipek E, Mutlu O, Burger D. Architecting phase change memory as a scalable dram alternative. SIGARCH Computer Architecture News, 2009, 37(3): 2鈥?3View Article
    5.Hay A, Strauss K, Sherwood T, Loh G H, Burger D. Preventing PCM banks from seizing too much power. In: Proceedings of the 44th Annual International Symposium on Microarchitecture. 2011, 186鈥?95
    6.Yue J, Zhu Y. Exploiting subarrays inside a bank to improve phase change memory performance. In: Proceedings of Design, Automation Test in Europe Conference Exhibition. 2013, 386鈥?91
    7.Ni J, Hu W, Li G, Tan K, Sun D. Bp-tree: a predictive B+-tree for reducing writes on phase change memory. IEEE Transaction on Knowledge and Data Engineering, 2014, 26(10): 2368鈥?381View Article
    8.Qureshi M K, Franceschini M M, Jagmohan A, Lastras L A. PreSET: improving performance of phase change memories by exploiting asymmetry in write times. In: Proceedings of the 39th Annual International Symposium on Computer Architecture. 2012, 380鈥?91
    9.Yang B, Lee D, Kim J, Cho J, Lee J, Yu S Y, Gon B. A low power phase-change random access memory using a data-comparison write scheme. In: Proceedings of International Symposium on Circuits and Systems. 2007, 3014鈥?017
    10.Yamada N O, Eiji N, Kenichi A. Nobuo T, Masatoshi. Rapid phase transitions of GeTeSb2Te3 pseudobinary amorphous thin films for an optical disk memory. Journal of Applied Physics, 1991, 69(5): 2849鈥?856View Article
    11.Kang S, Cho W Y, Cho B H, Lee K J, Lee C S. Oh H R, Choi B G, Wang Q, Kim H J, Park M H, Ro Y H, Kim S, Kim D E, Cho K S, Ha C D, Kim Y R, Kim K S, Hwang C R, Kwak C K, Byun H G, Shin Y S. A 0.1/spl mu/m 1.8V 256Mb 66MHz synchronous burst PRAM. In: Proceedings of International Conference on Solid-State Circuits- Digest of Technical Papers. 2006, 487鈥?96
    12.On H, Cho B H, Cho W Y. Enhanced write performance of a 64 Mb phase-change random access memory. In: Proceedings of International Conference on Solid-State Circuits-Digest of Technical Papers. 2005, 581鈥?84
    13.Lee Y, Kim S, Hong S, Lee J. Skinflint DRAM system: minimizing DRAM chip writes for low power. In: Proceedings of the 17th International Symposium on High Performance Computer Architecture. 2013, 25鈥?4
    14.Muralimanohar N, Balasubramonian R, Jouppi N P. CACTI 6.0: a tool to model large caches. HP Laboratories, 2009, 22鈥?1
    15.Bruce J, Spencer W, Wang D. Memory systems-cache, DRAM, disk. Morgan Kaufmann. 2008, 428鈥?29
    16.Binkert N, Beckmann B, Black G, Reinhardt S K, Saidi A, Basu A, Hestness J, Hower D R, Krishna T, Sardashtis, Sen R, Sewell K, Shoaib M, Vaish N, Hill M D, Wood D A. The gem5 simulator. SIGARCH Computer Architecture News, 2011, 39(2): 1鈥?View Article
    17.Rosenfeld P, Cooper B E, Jacob B. DRAMSim2: a cycle accurate memory system simulator. Computer Architecture Letters, 2011, 10(1): 16鈥?9View Article
    18.Standard Performance Evaluation Corporation. SPEC CPU 2006.
    19.Zheng H, Lin J, Zhang Z, Gorbatov E, David H, Zhu Z. Mini-rank: adaptive DRAM architecture for improving memory power efficiency. In: Proceedings of the 41st International Symposium on Microarchitecture. 2008, 210鈥?21
    20.Ahn J H, Leverich J, Schreiber R S, Jouppi N P. Multicore DIMM: an energy efficient memory module with independently controlled DRAMs. Computer Architecture Letters, 2009, 8(1): 5鈥?View Article
    21.Cho S, Lee H. Flip-N-Write: a simple deterministic technique to im prove PRAM write performance, energy and endurance. In: Proceedings of the 42nd Annual International Symposium on Microarchitecture. 2009, 347鈥?57
    22.Qureshi M K, Srinivasan V, Rivers J A. Scalable high performance main memory system using phase-change memory technology. In: Proceedings of the 36th Annual International Symposium on Computer Architecture. 2009, 24鈥?3
    23.Ramos L E, Gorbatov E, Bianchini R. Page placement in hybrid memory systems. In: Proceedings of International Conference on Supercomputing. 2011, 85鈥?5
    24.Lee S, Bahn H, Noh S H. Characterizing memory write references for efficient management of hybrid PCM and DRAM memory. In: Proceedings of the 19th International Symposium on Modeling, Analysis Simulation of Computer and Telecommunication Systems. 2011, 168鈥?75
    25.Lee H G, Baek S, Nicopoulos C, Kim J. An energy- and performanceaware DRAM cache architecture for hybrid DRAM/PCM main memory systems. In: Proceedings of the 29th International Conference on Computer Design. 2011, 381鈥?87
    26.Jiang L, Zhang Y, Childers B R, Yang J. FPB: fine-grained power budgeting to improve write throughput of multi-level cell phase change memory. In: Proceedings of the 45th Annual IEEE/ACM International Symposium. on Microarchitecture. 2012, 1鈥?2
    27.Li Z, Zhou R, Li T. Exploring high-performance and energy proportional interface for phase change memory systems. In: Proceedings of the 17th International Symposium on High Performance Computer Architecture. 2013, 210鈥?21
    28.Ham B K, Chelepalli T J, Lee N, Xue B C. Disintegrated control for energy-efficient and heterogeneous memory systems. In: Proceedings of the 19th IEEE International Symposium on High Performance Computer Architecture. 2013, 424鈥?35
    29.Chen J, Chiang R C, Huang H H, Venkataramani G. Energy-aware writes to non-volatile main memory. ACM SIGOPS Operating Systems Review, 2012, 5(3): 48鈥?2View Article
    30.Yue J, Zhu Y. Accelerating write by exploiting PCM asymmetries. In: Proceedings of the 17th International Symposium on High Performance Computer Architecture. 2013, 282鈥?93
  • 作者单位:Qi Wang (1) (2)
    Donghui Wang (1)
    Chaohuan Hou (1)

    1. Institute of Acoustics, Chinese Academy of Sciences, Beijing, 100190, China
    2. School of Physics, University of Chinese Academy of Sciences, Beijing, 100049, China
  • 刊物类别:Computer Science
  • 刊物主题:Computer Science, general
    Chinese Library of Science
  • 出版者:Higher Education Press, co-published with Springer-Verlag GmbH
  • ISSN:1673-7466
文摘
Phase change memory (PCM) is a promising candidate to replace DRAM as main memory, thanks to its better scalability and lower static power than DRAM. However, PCM also presents a few drawbacks, such as long write latency and high write power. Moreover, the write commands parallelism of PCM is restricted by instantaneous power constraints, which degrades write bandwidth and overall performance. The write power of PCM is asymmetric: writing a zero consumes more power than writing a one. In this paper, we propose a new scheduling policy, write power asymmetry scheduling (WPAS), that exploits the asymmetry of write power. WPAS improveswrite commands parallelism of PCM memory without violating power constraint. The evaluation results show that WPAS can improve performance by up to 35.5%, and 18.5% on average. The effective read latency can be reduced by up to 33.0%, and 17.1% on average.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700